Newest

viking, to random
@viking@fosstodon.org avatar

Beehaw is considering other alternatives to Lemmy due to its immaturity as software and their attempts at improvements being blocked/ignored by Lemmy developers. That feels ominous to me.

It seems like Lemmy is not close to being a practical Reddit alternative. To be fair, Reddit's enshittification forced a lot on Lemmy all at once. It didn't have a chance to grow organically.

https://beehaw.org/post/7776438

#lemmy #beehaw

itnewsbot, to random
@itnewsbot@schleuss.online avatar

Blue-Green Algae Is Filling Rivers With Toxic Sludge - Harmful algal blooms are taking over as the world warms and grows richer in carbon dioxid... - https://www.wired.com/story/blue-green-algae-is-filling-rivers-with-toxic-sludge/ #science/environment #growthspurt #science

unofficial_kbin_guide, to unofficial_kbin_guide

Updated the The Unofficial Guide to /kbin FAQ! Updated the FAQ to include how Mastodon users can interact with /kbin from Mastodon.
/kbin post
Updated FAQ
#fediverse #kbin #mastodon #kbinmeta #kbinhelp #kbinguide #kbindocs #unofficial_kbin_guide

unofficial_kbin_guide, to kbinMeta

Updated the The Unofficial Guide to /kbin FAQ! Updated the FAQ to include how Mastodon users can interact with /kbin from Mastodon.
/kbin post
Updated FAQ
#fediverse #kbin #mastodon #kbinmeta #kbinhelp #kbinguide #kbindocs #kbinMeta

unofficial_kbin_guide, to fediverse

Updated the The Unofficial Guide to /kbin FAQ! Updated the FAQ to include how Mastodon users can interact with /kbin from Mastodon.
/kbin post
Updated FAQ
#fediverse #kbin #mastodon #kbinmeta #kbinhelp #kbinguide #kbindocs

evan, to random
@evan@cosocial.ca avatar

I think it's been mentioned before but I just want to make sure that everyone here knows that we are having THREE #SocialCG #Swicg #ActivityPub sessions at #TPAC next week.

TPAC is a hybrid working event for the W3C. Some of us will be in person in Seville. If you're not, you can call in and participate remotely.

Details here!

https://www.w3.org/2023/09/TPAC/participation.html

evan,
@evan@cosocial.ca avatar

Meeting details!

12 September 2023 9:30-11AM CET

This is our main meeting and we'll be covering a lot of different topics. Hopefully addressing extensions!

https://www.w3.org/events/meetings/5aafc3e5-aac6-49f8-bcc4-3afd7fb00ce6/

13 September 2023 12:15-13:15 CET

A session on test suites, including next steps from previous special meeting.

https://www.w3.org/events/meetings/919c2a7d-e925-4249-8a29-09001c15b48a/

13 September 2023 14:30-5:30 CET

A session on data portability.

https://www.w3.org/events/meetings/46ce9082-710a-4fe2-8cf8-5dcdc207c877/

readbeanicecream, to fediverse
@readbeanicecream@kbin.social avatar

You already knew that #kbin is a great replacement for #twitter and can be used with #mastodon. You also already knew that kbin was a great replacement for #reddit. But did you know that kbin can filter by #photo and #videos; making it a great replace for #instagram and #tiktok? Learn more at:
https://unofficial-kbin-guide.surge.sh/filter-bar/
#fediverse #kbinmeta #kbinguide #kbinhelp #fediversenews #tech #technology #socialmedia

coloco, to random Spanish
@coloco@mstdn.social avatar

OJO OJO!!!!!!

ESTO, ES MUY FUERTE, VOY APONERLO CON CW

Pablo Echenique
@PabloEchenique
·
46min

Un toro destripando vaquillas ante los gritos horrorizados de varios niños pequeños. En Barbastro, Huesca.

#toros #fediverse

Hay que prohibir estas barbaridades medievales propias de psicópatas.

#España

https://twitter.com/PabloEchenique/status/1700117418306109528

Que verguenza de pais!!!

video/mp4

unofficial_kbin_guide, to kbinMeta

The Unofficial Guide to /kbin updated for domains! The Unofficial Kbin Guide was update to include a section on how to subscribe to domains.
https://kbin.social/m/unofficial_kbin_guide/t/434785
#kbin #kbinhelp #kbinmeta #kbinguide #kbindocs #kbinMeta

reiver, to random
@reiver@mastodon.social avatar

"Twitter and Reddit may have only lost a few million users to Mastodon and Lemmy so far, but these are nation-sized numbers, comparable to what Scandinavia is to the United States of America. The incumbents have allowed the fediverse to reach critical mass. It's only gonna get bigger"

⸺ Erlend Sogge Heggen ( @erlend )

https://blog.erlend.sh/transitioning-r-rust-to-the-threadiverse

unofficial_kbin_guide, to kbinMeta

The Unofficial Kbin Guide is now available! https://unofficial-kbin-guide.surge.sh/
Let me know of any feedback, update requests, or corrections.
#kbin #kbinmeta #kbinhelp #kbinguide #kbinMeta

z428, to random German

Serious question, no offense or provocation intended: With this stuff being baked into Hubzilla and, apparently, also design-wise into Bluesky / AT, can anyone out here involved with the #ActivityPub specification process outline why nomadic / easily portable identity isn't built-in here by design? Looking at the (to-be-expected) dynamics of instances going up and down, blocking each other or moving to newer, different pieces of software, this seems an absolutely obvious requirement, so I wonder why this has been left out of the standard / spec?

gbhnews, to random
@gbhnews@mastodon.social avatar

🌞 Good morning ! This is GBH News bringing you the world from . It's 66F at Logan Airport and visibility is 1.25 miles.

The 's Red Line has the most extreme slowdowns in the system -- and the agency announces it will shut branches altogether for repair in October.

Former President posed for a mug shot in Georgia yesterday, as he was booked on charges of interfering with the 2020 election. He posted the photo on Twitter shortly afterwards.

grishka, to random
@grishka@mastodon.social avatar

Working on private messages in #Smithereen and I'm wondering how much sense CWs make for them. From Mastodon's PoV, "private messages" are just posts. They thus share all the same properties. In my implementation, however, messages are distinct from posts, both in the UI and in the database. In my UI I don't have anywhere to display that content warning as a spoiler without it being super awkward. I can use it as a subject line however 🤔

Any thoughts?

#activitypub #mastodev

dejo, to science Serbian

Hi, I'm not quite sure if this vhdl code and testbench is correct for the given task. Can you take a look?

Design a one-hour kitchen timer. The device should have buttons/switches to start and stop the timer, as well as to set the desired time interval for the alarm. Realize the task using the software package Quartus or in GHDL, confirm the correctness of the project task by simulation.

This is VHDL code:

use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity Kitchen_Timer is
  port (
    clk   : in std_logic;    -- Clock input
    reset : in std_logic;    -- Reset input
    start : in std_logic;    -- Start button input
    stop  : in std_logic;    -- Stop button input
    alarm : out std_logic    -- Alarm output
  );
end entity Kitchen_Timer;

-- Declare the architecture for the kitchen timer
architecture Behavioral of Kitchen_Timer is
  signal count     : integer range 0 to 3600 := 0;   -- Counter for timer
  signal alarming  : std_logic := '0';               -- Signal to indicate alarming interval
  signal alarm_en  : std_logic := '0';               -- Signal to enable alarming interval
  signal alarm_cnt : integer range 0 to 600 := 0;    -- Counter for alarming interval
begin
  -- Process to control the kitchen timer and alarming interval
  process (clk, reset)
  begin
    if (reset = '1') then
      count     <= 0;
      alarming  <= '0';
      alarm_en  <= '0';
      alarm_cnt <= 0;
    elsif (rising_edge(clk)) then
      if (stop = '1') then
        count     <= 0;
        alarming  <= '0';
        alarm_en  <= '0';
        alarm_cnt <= 0;
      elsif (start = '1' and count < 3600) then
        count <= count + 1;
        if (count = 3600) then
          count     <= 0;
          alarming  <= '0';
          alarm_en  <= '0';
          alarm_cnt <= 0;
        elsif (count > 0) then
          alarm_en <= '1';
        end if;
      end if;

      if (alarm_en = '1') then
        if (alarm_cnt < 600) then
          alarm_cnt <= alarm_cnt + 1;
        else
          alarm_cnt <= 0;
          alarming  <= '1';
        end if;
      end if;
    end if;
  end process;

  -- Assign the alarm output
  alarm <= alarming;
end architecture Behavioral; ```


This is Testbench:

```library ieee;
use ieee.std_logic_1164.all;

entity tb_Kitchen_Timer is
end tb_Kitchen_Timer;

architecture tb of tb_Kitchen_Timer is

    component Kitchen_Timer
        port (clk   : in std_logic;
              reset : in std_logic;
              start : in std_logic;
              stop  : in std_logic;
              alarm : out std_logic);
    end component;

    signal clk   : std_logic;
    signal reset : std_logic;
    signal start : std_logic;
    signal stop  : std_logic;
    signal alarm : std_logic;

    constant TbPeriod : time := 1000 ns; -- EDIT Put right period here
    signal TbClock : std_logic := '0';
    signal TbSimEnded : std_logic := '0';

begin

    dut : Kitchen_Timer
    port map (clk   => clk,
              reset => reset,
              start => start,
              stop  => stop,
              alarm => alarm);

    -- Clock generation
    TbClock <= not TbClock after TbPeriod/2 when TbSimEnded /= '1' else '0';

    -- EDIT: Check that clk is really your main clock signal
    clk <= TbClock;

    stimuli : process
    begin
        -- EDIT Adapt initialization as needed
        start <= '0';
        stop <= '0';

        -- Reset generation
        -- EDIT: Check that reset is really your reset signal
        reset <= '1';
        wait for 100 ns;
        reset <= '0';
        wait for 100 ns;

        -- EDIT Add stimuli here
        wait for 100 * TbPeriod;

        -- Stop the clock and hence terminate the simulation
        TbSimEnded <= '1';
        wait;
    end process;

end tb;

-- Configuration block below is required by some simulators. Usually no need to edit.

configuration cfg_tb_Kitchen_Timer of tb_Kitchen_Timer is
    for tb
    end for;
end cfg_tb_Kitchen_Timer;```

 #science

dejo,

@T4V0 Thanks for the answer, but I think I didn't understand you very well, can you send me the code with the modifications so that I know what exactly you mean?
Thank you very much, in advance

T4V0,
@T4V0@kbin.social avatar

@dejo

can you send me the code with the modifications so that I know what exactly you mean?

I would rather not, as it isn't a good learning experience for you, and would require some time for me to write the code.

Though if you have any questions about my previous answer, feel free to ask me about it.

As a freebie for you, pay attention to the alarming signal, and the condition that has been set: "The device should have buttons/switches to start and stop the timer, as well as to set the desired time interval for the alarm.". If I wanted the alarm to ring after 50 minutes, how would I do that? And what happens when the timer starts?

From the code I see here, the alarm is going to ring 10 minutes after being started, and it won't stop until an hour passes. And it has no way to set a time for it to ring, it always rings after 10 minutes.

And, not only that, the start signal is never set in the testbench, so the timer is never going to begin.

  • All
  • Subscribed
  • Moderated
  • Favorites
  • random
  • meta
  • Macbeth
  • All magazines